您的当前位置:首页正文

DFT Compiler基本使用方法

2024-06-18 来源:客趣旅游网
DFT Compiler基本使用方法

1.基本概念 (1)DFT Compiler

DFT Compiler是Synopsys Design Compiler工具包中的一种工具软件,主要用来为需要采用扫描方式进行测试的数字设计自动插入扫描链。 (2)内部扫描和边界扫描

在Synoposys的软件文档中,将扫描分为内部扫描和边界扫描,内部扫描就是一种将一个数字电路中的触发器在测试模式下连接成移位寄存器,以改善电路的可控性和可观测性的设计方法。在测试模式下,通过“移位寄存器”可将测试数据串行地输入到芯片内部各触发器的输出端,同时也可将触发原有状态移出到输出端,这种方式不仅可提高芯片的可测性,也可简化测试向量的生成,提高测试覆盖率,是目前最常用的可测性设计技术。

图1 内部扫描 边界扫描是一种为简化线路板上的芯片和电路测试定义的标准测试接口,通常称为JTAG接口。在Synopsys综合工具中,JTAG接口可使用BSD Compiler自动生成,不要写在RTL代码中。

DFT Compiler和BSD Compiler都需要与Design Compiler配合使用,但有单独的授权。

(3)扫描触发器

内部扫描链是通过将设计中的普通触发器用同类型的扫描触发器替代的方法实现的,使用DFT Compiler的前提条件是目标工艺库中有这样的Cell。

图2 边界扫描 图3

表1 触发器单元

表1是一个标准单元库中的普通触发器和对应的扫描触发器。 (3)全扫描和部分扫描

图4 全扫描

2.DFT Compiler流程 (1)基本流程

图6 DFT基本流程 图5 部分扫描 (2)映射前添加扫描链的设计流程

(3)映射后添加扫描链的流程

set WORK_DIR /usr/dc09/dc_scan set target_library {fsa0a_c_sc_tc.db} set link_library {* fsa0a_c_sc_tc.db} set symbol_library {fsa0a_c_sc.sdb} read_verilog $WORK_DIR/code/counter.v current_design counter link remove_attribute [get_cells fsa0a_c_sc_tc/QDFZRBN] dont_use remove_attribute [get_cells fsa0a_c_sc_tc/QDFZRBP] dont_use remove_attribute [get_cells fsa0a_c_sc_tc/QDFZRBS] dont_use remove_attribute [get_cells fsa0a_c_sc_tc/QDFZRBT] dont_use set auto_wire_load_selection true set_max_transition 0.2 counter set_drive 2 [all_inputs] #set_driving_cell -lib_cell QDFFRBN -pin Q -library fsa0a_c_sc_tc [get_ports rst_n] set_fanout_load 3 [all_outputs] create_clock -name \"clk\" -period 10 -waveform { 0 5 } [get_ports clk] set_clock_uncertainty -setup 0.1 clk set_clock_uncertainty -hold 0.1 clk set_input_delay -max 2 -clock clk [all_inputs] set_input_delay -min 0.1 -clock clk [all_inputs] set_dont_touch_network [get_clocks clk] set test_default_scan_style multiplexed_flip_flop set test_default_delay 0 set test_default_bidir_delay 0 set test_default_strobe 90 set test_default_period 100 check_scan compile -scan insert_scan check_scan report_test -scan_path estimate_test_coverage write -format verilog -hier -o $WORK_DIR/netlist/counter_scan.v write_test_protocol -format stil -o $WORK_DIR/netlist/counter_scan.spf

因篇幅问题不能全部显示,请点此查看更多更全内容